吾爱光设

 找回密码
 注册
会员须知
会员须知
实用帮助
实用帮助
查看: 3980|回复: 4

[书籍类资料] 光刻机Euv Lithography-Society of Photo Optical (2018)

[复制链接]
  • TA的每日心情
    慵懒
    2023-10-2 07:54
  • 签到天数: 915 天

    [LV.10]以坛为家III

    5

    主题

    30

    回帖

    12

    积分

    小白

    积分
    12
    发表于 2020-7-23 07:03 | 显示全部楼层 |阅读模式
    光刻机, 中国光刻事业加油


    Contents
    Foreword to the Second Edition xvii
    Preface to the Second Edition xix
    List of Contributors xxv
    List of Acronyms and Abbreviations xxvii
    1 EUV Lithography: An Historical Perspective 1
    Hiroo Kinoshita and Obert Wood
    1.1 Introduction 1
    1.2 The Early Stage of Development—1981 to 1992 3
    1.3 The Second Stage of Development—1993 to 1996 11
    1.3.1 Two-mirror imaging system development 13
    1.3.2 Three-mirror imaging system development 16
    1.3.3 MOS device demonstration using EUVL 17
    1.4 Other Developments in Japan and Europe 19
    1.5 The Development of Individual Technologies 21
    1.5.1 Selection of the exposure wavelength 22
    1.5.2 Design of reflective imaging systems 25
    1.5.3 Fabrication and evaluation of aspherical mirrors 28
    1.5.4 Multilayer coatings and reflection masks 32
    1.5.5 EUV resist development 37
    1.5.6 EUV light source development 38
    1.6 EUVL Conferences 41
    1.7 Summary 42
    Acknowledgments 43
    References 46
    2 The EUV LLC: An Historical Perspective 57
    Stefan Wurm
    2.1 Introduction 58
    2.1.1 Background 59
    2.1.2 Need for a revolutionary approach 60
    2.2 Formation of the EUV LLC 60
    2.2.1 Vision 60
    2.2.2 Implementation 61
    vii
    2.2.3 Organizational structure 64
    2.3 Program Structure 69
    2.3.1 Organization 69
    2.3.2 Risk management 69
    2.3.3 Reporting 71
    2.3.4 Documentation 72
    2.4 Program Results 74
    2.4.1 Technical accomplishments 74
    2.4.2 IP portfolio 77
    2.4.3 Program statistics 83
    2.4.4 Delays 83
    2.5 Retrospective Observations 85
    2.5.1 Improvements 85
    2.5.2 External issues 88
    2.5.3 Benefits 89
    2.6 Status of EUV Development at the End of the EUV LLC 91
    2.6.1 Risk reduction 91
    2.7 Summary 92
    Appendix 2A: Major Accomplishments of the EUV LLC Program 94
    Appendix 2B: EUV LLC Program Patents 99
    Acknowledgments 105
    References 106
    3A EUV Sources for High-Volume Manufacturing 109
    Igor V. Fomenkov, David C. Brandt, Alexander I. Ershov, Alexander A.
    Schafgans, Yezheng Tao, Georgiy O. Vaschenko, and Bruno La Fontaine
    3A.1 Introduction to EUV Light Sources 109
    3A.2 EUV Source Requirements 114
    3A.3 Laser-Produced Plasma Source System 119
    3A.3.1 EUV power scaling 119
    3A.3.2 Tin target delivery 130
    3A.3.3 Pre-pulse technology 135
    3A.3.4 EUV collector 136
    3A.3.5 Debris mitigation 143
    3A.3.6 Hydrogen as a buffer gas 147
    3A.3.7 Tin management 150
    3A.3.8 Controls 154
    3A.4 Summary and Future Outlook 155
    References 156
    3B High-Power EUV Source by Gigaphoton for High-Volume Manufacturing 165
    Hakaru Mizoguchi, Taku Yamazaki, Tatsuya Yanagida, Krzysztof M. Nowak,
    and Takashi Saito
    3B.1 Introduction 165
    viii Contents
    3B.2 High-Power LPP EUV Light Source with Pre-pulse Technology 166
    3B.2.1 System concept 166
    3B.2.2 Tin droplet generation technology 166
    3B.2.3 Pre-pulse technology and high-CE operation 167
    3B.2.4 Superconducting magnet debris mitigation method (SM3) and
    the collector mirror 171
    3B.2.5 Driver CO2 laser system 173
    3B.3 250-W HVM LPP-EUV Source 181
    3B.3.1 Pilot #1 system construction 181
    3B.3.2 CE measurements and improvement 182
    3B.3.3 Latest data of the Pilot #1 system 183
    3B.3.4 Change of collector mirror reflectance during operation 184
    3B.4 Conclusion and Acknowledgment 185
    References 186
    4A The EQ-10 Electrodeless Z-PinchTM Metrology Source 193
    Stephen F. Horne, Matthew M. Besen, Paul A. Blackborow, Ron Collins,
    Deborah Gustafson, Matthew J. Partlow, and Donald K. Smith
    4A.1 Operating Principles 193
    4A.2 Diagnostics for the EQ-10 196
    4A.3 Source Performance 197
    4A.3.1 Power and brightness 198
    4A.3.2 Spatial and temporal stability 200
    4A.4 Typical Installations 202
    4A.5 Conclusions 202
    References 202
    4B High-Brightness LDP Source for Mask Inspection 207
    Yusuke Teramoto
    4B.1 Introduction 207
    4B.2 LDP System Configuration 209
    4B.3 EUV Brightness and Power 212
    4B.4 EUV Spectrum and Out-of-Band Radiation 214
    4B.5 Stability and Reliability 215
    4B.6 Source Cleanliness 217
    4B.7 Summary 221
    Acknowledgments 221
    References 221
    5 Optical Systems for EUVL 225
    Sascha Migura, Winfried Kaiser, Jens Timo Neumann, Hartmut Enkisch, and
    Dirk Hellweg
    5.1 Introduction 226
    5.2 Optical Systems: Beginning and Present 228
    5.2.1 Introduction 228
    Contents ix
    5.2.2 Beginning: collaborations and funding in Europe 229
    5.2.3 Early optical systems: MET, HiNA, and SFET 230
    5.2.4 Prototypes: Alpha Demo Tool and EUV1 232
    5.2.5 Preproduction system: Starlith® 3100 235
    5.2.6 HVM: Starlith® 3300/3400 family 237
    5.3 The Future: High-NA 240
    5.3.1 Introduction 240
    5.3.2 Optical system for high-NA 241
    5.3.3 Theoretical background 246
    5.3.4 Conclusion on optical systems for EUVL 253
    5.4 Optical Modules: Collector 254
    5.4.1 Introduction 254
    5.4.2 EUV collector types 254
    5.4.3 Grazing-incidence collectors 255
    5.4.4 Normal-incidence collectors 260
    5.5 Optical Modules: Illuminator 263
    5.5.1 Introduction 263
    5.5.2 Key performance parameters 263
    5.5.3 Realization options 265
    5.5.4 Pupil tuning 269
    5.5.5 Technical challenges 269
    5.6 Optical Modules: Projection Optics 270
    5.6.1 Introduction 270
    5.6.2 Basic design specifications 271
    5.6.3 Optics manufacturing: mirror surface 273
    5.6.4 Modeling and simulating stray light and flare 279
    5.6.5 Optics manufacturing: mirror coating 297
    5.7 Mask Inspection: The ZEISS AIMS EUV 308
    5.7.1 Introduction 308
    5.7.2 Principle and application 308
    5.7.3 System concept 311
    5.7.4 Tool capabilities 312
    5.7.5 Tool performance 314
    5.7.6 Summary 316
    Acknowledgments 316
    References 317
    6A Optics Contamination 335
    Charles S. Tarrio, Shannon B. Hill, Robert F. Berg, and Saša Bajt
    6A.1 Introduction 335
    6A.1.1 Background 337
    6A.1.2 The chemical processes 338
    6A.2 Optics Contamination Research 339
    6A.2.1 Carbonization and oxidation: photons or photoelectrons 339
    x Contents
    6A.2.2 The elimination of oxidation as a problem: requirements for
    oxidation-resistant cap layers 340
    6A.2.3 Development of contamination-resistant cap layers 341
    6A.3 Optics Contamination Experiments 343
    6A.3.1 Facilities 343
    6A.3.2 Carbonization: admitted-gas studies 345
    6A.4 Resist Outgas Testing 353
    6A.4.1 Early measurements 353
    6A.4.2 Witness-sample testing 355
    6A.4.3 Witness-sample testing: nonconventional resists 356
    6A.5 Cleaning and Contamination Control 357
    6A.6 Summary and Future Outlook 358
    References 358
    6B Collector Contamination: Normal-Incidence (Multilayer) Collectors 369
    Daniel T. Elg, Shailendra N. Srivastava, and David N. Ruzic
    6B.1 Introduction 369
    6B.2 Overview of Normal-Incidence Collector Mirrors 371
    6B.3 Collector Performance 375
    6B.3.1 Debris mitigation and contamination 375
    6B.3.2 Atomic hydrogen cleaning 387
    6B.3.3 Hydrogen plasma cleaning 393
    6B.3.4 Compatibility of multilayer mirrors with H2 plasma 398
    6B.4 Summary 401
    Acknowledgments 403
    References 403
    7 EUV Mask and EUV Mask Metrology 411
    Jinho Ahn and Chan-Uk Jeon
    7.1 Introduction 412
    7.2 EUVL Mask Structure and Process Flow 413
    7.3 Mask Substrate 414
    7.3.1 Thermal expansion coefficient 414
    7.3.2 Surface figure requirements 415
    7.3.3 Defect requirements 417
    7.4 Multilayer and Backside Conductive Coating 418
    7.4.1 Multilayer deposition process 418
    7.4.2 Multilayer characterization 421
    7.4.3 Multilayer performance improvement techniques and defect
    mitigation 422
    7.4.4 Capping layer 427
    7.4.5 Backside conductive coating and mask handling 428
    7.5 Absorber Stack and Pattern Fabrication 428
    7.5.1 Absorber layer 429
    Contents xi
    7.5.2 Antireflection coating 430
    7.5.3 E-beam patterning 431
    7.5.4 Absorber stack etch 431
    7.6 Mask Inspection, Metrology, and Repair 432
    7.6.1 Multilayer defect inspection 432
    7.6.2 Absorber pattern inspection 435
    7.6.3 Multilayer defect repair 437
    7.6.4 Multilayer defect compensation 440
    7.6.5 Absorber defect repair 442
    7.6.6 Next-generation repair 444
    7.6.7 Aerial image metrology 447
    7.7 Mask Contamination Protection and Cleaning 450
    7.7.1 Pellicle 450
    7.7.2 Mask cleaning 457
    7.8 Advanced Mask Structure for Better Imaging 458
    7.8.1 Shadowing effect 458
    7.8.2 Bossung curve asymmetry and focus shift 460
    7.8.3 Alternative mask structures 461
    7.8.4 Etched ML binary mask 462
    7.8.5 Attenuated phase shift mask 465
    7.8.6 Alternating phase shift mask 470
    7.8.7 Black border 472
    7.8.8 Mask design for high-NA 473
    7.9 Summary and Future Outlook 474
    Acknowledgments 475
    References 475
    8 Photoresists for EUV Lithography 493
    Robert L. Brainard, Mark Neisser, Gregg Gallatin, and Amrit Narasimhan
    8.1 Introduction 494
    8.2 Earliest EUV Resist Imaging 495
    8.3 Absorption Coefficients of EUV Photoresists 500
    8.3.1 Definitions of transmission and absorption 500
    8.3.2 Early absorption goals 501
    8.3.3 A change in absorption goals: from light to dark 502
    8.3.4 Measuring absorption of actual resist films 503
    8.4 Mechanisms of Resist Exposure to EUV Light 505
    8.4.1 Introduction 505
    8.4.2 The physics of EUV exposure mechanisms 506
    8.4.3 The chemistry of EUV exposure mechanisms 508
    8.4.4 Maximum quantum yield in chemically amplified photoresists 508
    8.4.5 Total electron yield in EUV photoresists 509
    8.4.6 Mechanisms of acid generation in CARs 510
    xii Contents
    8.4.7 Electron travel distance in photoresists 515
    8.4.8 Conclusions 516
    8.5 Organic Photoresists 518
    8.5.1 Polymethylmethacrylate (PMMA) resists 518
    8.5.2 Environmentally stable chemically amplified photoresists 518
    8.5.3 Low-activation-energy acetal or ketal resists 520
    8.5.4 Chain-scission resists 521
    8.5.5 ArF polymer platforms 522
    8.5.6 Sulfonium-based resists 522
    8.5.7 High-fluorine resists 522
    8.5.8 Non-polymer-based organic EUV resists 523
    8.6 Characterization of Development Using AFM 524
    8.7 Strategies for Improving Resist Sensitivity: Acid Amplifiers and
    Photosensitized CARs 526
    8.7.1 Introduction to acid amplifiers 526
    8.7.2 Lithographic capabilities of acid amplifiers in EUV
    photoresists 527
    8.7.3 Fluorine-stabilized AAs and olefin triggers 529
    8.7.4 Synthesis and preliminary lithographic performance of
    fluorine-stabilized polymers 531
    8.7.5 Photosensitized chemically amplified resists (PSCAR) 531
    8.7.6 Conclusions 534
    8.8 Metal-Containing Resists 534
    8.8.1 Metal oxide resists from Oregon State University and Inpria 535
    8.8.2 Tin oxide cluster resists from CNSE 539
    8.8.3 Mononuclear metal resists 542
    8.9 LER and Modeling 548
    8.9.1 Background: continuous and stochastic models 548
    8.9.2 Modeling steps 550
    8.9.3 Specific resist models 553
    8.10 Benchmarking Studies: Tool Dependence and Resist Performance 561
    8.10.1 Introduction 561
    8.10.2 Interference lithography 562
    8.10.3 MET imaging 563
    8.10.4 Beta tool and production scanner imaging 565
    8.10.5 Comparison to ArF 565
    8.10.6 Comparison of organic and metal-based resists 565
    8.11 Summary 566
    8.11.1 Background 566
    8.11.2 Mechanism of EUV exposure 566
    8.11.3 Types of resists 567
    8.11.4 Acid amplifiers and PSCAR 568
    Contents xiii
    8.11.5 LER and modeling 569
    8.11.6 Benchmarking of resists 570
    Notes and References 570
    9 Fundamentals of EUVL Scanners 593
    Jan B. P. van Schoot and Johannes C. M. Jasper
    9.1 Introduction 593
    9.1.1 EUVL scanner outline 593
    9.1.2 Business drivers 594
    9.2 EUVL Projection Tool Overview: History and Future 605
    9.2.1 Small-field tools 605
    9.2.2 Full-field tools: past 0.25 NA 606
    9.2.3 Full-field tools: current 0.33 NA 606
    9.2.4 Full-field tools: future 0.55 NA 606
    9.3 EUVL Scanner Architecture 619
    9.3.1 Introduction: architecture overview 619
    9.3.2 The optical system 620
    9.3.3 Mechatronic architecture 626
    9.3.4 Wafer and mask stage 627
    9.3.5 Optics and contamination control 628
    9.3.6 Metrology 630
    9.3.7 Material handling systems and clamps 634
    9.3.8 EUV pellicles 635
    9.4 Outlook 636
    9.4.1 Future prospects 638
    Acknowledgments 640
    References 640
    10 EUVL System Patterning Performance 651
    Patrick Naulleau and Gregg Gallatin
    10.1 Introduction: The Benefits of EUV Imaging 651
    10.2 Parameters Affecting EUV Patterning Performance 654
    10.2.1 Partial coherence 654
    10.2.2 Aberrations and contrast 654
    10.2.3 Flare and contrast 655
    10.2.4 Chromeless phase-shift-mask printing in the EUV range 656
    10.3 EUV and Aerial Image Variability 659
    10.3.1 LER transfer from the mask to the wafer 660
    10.3.2 Mask roughness effects on LER 664
    10.3.3 Mask roughness effects on printed contact size variations 672
    10.4 Stochastics in Patterning 674
    10.4.1 Introduction 674
    10.4.2 Photon stochastics: an analytic description 675
    10.4.3 A stochastic model 678
    xiv Contents
    10.4.4 Photon and material effects 679
    10.4.5 Contact CDU limits 681
    References 683
    Appendix: Reference Data for the EUV Spectral Region 689
    Eric M. Gullikson and David Attwood
    A.1 Introduction 689
    References 707
    Index 709







































































    本帖子中包含更多资源

    您需要 登录 才可以下载或查看,没有账号?注册

    ×

    评分

    参与人数 1金币 +8 收起 理由
    白米羔羊 + 8 很给力!

    查看全部评分

    发帖求助前要善用【论坛搜索】功能,那里可能会有你要找的答案;
    回复

    使用道具 举报

  • TA的每日心情
    无聊
    2024-4-19 10:02
  • 签到天数: 333 天

    [LV.8]以坛为家I

    16

    主题

    613

    回帖

    58

    积分

    版主

    积分
    58
    发表于 2020-7-23 09:42 | 显示全部楼层
    英文版……
    发帖求助前要善用【论坛搜索】功能,那里可能会有你要找的答案;
    回复

    使用道具 举报

  • TA的每日心情

    2024-4-30 09:16
  • 签到天数: 980 天

    [LV.10]以坛为家III

    10

    主题

    32

    回帖

    6

    积分

    小白

    积分
    6
    发表于 2020-7-23 15:39 | 显示全部楼层

    因为还没有国产光刻机,所以没有中文说明书
    发帖求助前要善用【论坛搜索】功能,那里可能会有你要找的答案;
    回复

    使用道具 举报

  • TA的每日心情
    开心
    2024-5-3 08:24
  • 签到天数: 792 天

    [LV.10]以坛为家III

    69

    主题

    354

    回帖

    19

    积分

    新手

    积分
    19
    发表于 2020-8-10 08:55 | 显示全部楼层
    光刻机,任重道远啊
    发帖求助前要善用【论坛搜索】功能,那里可能会有你要找的答案;
    回复

    使用道具 举报

  • TA的每日心情
    开心
    2019-1-18 13:16
  • 签到天数: 3 天

    [LV.2]偶尔看看I

    1

    主题

    6

    回帖

    0

    积分

    禁止发言

    积分
    0
    发表于 2020-8-19 16:08 | 显示全部楼层
    提示: 作者被禁止或删除 内容自动屏蔽
    发帖求助前要善用【论坛搜索】功能,那里可能会有你要找的答案;
    回复

    使用道具 举报

    您需要登录后才可以回帖 登录 | 注册

    本版积分规则

    关闭

    站长推荐上一条 /3 下一条

    联系我们|本论坛只支持PC端注册|手机版|小黑屋|吾爱光设 ( 粤ICP备15067533号 )

    GMT+8, 2024-5-3 10:20 , Processed in 0.125000 second(s), 24 queries .

    Powered by Discuz! X3.5

    © 2001-2024 Discuz! Team.

    快速回复 返回顶部 返回列表